當前位置:學問谷 >

個人範例 >心得體會 >

eda課程設計心得體會

eda課程設計心得體會

寫心得體會是困擾很多人的問題,心中有很多想法,想説卻不知道怎麼寫下來。下面本欄目蒐集了eda課程設計心得體會,歡迎查看,希望幫助到大家。

eda課程設計心得體會

  eda課程設計心得體會一

這次EDA課程設計歷時兩個星期,在整整兩個星期的日子裏,可以説是苦多於甜,但是可以學的到很多很多的東西,同時不僅可以鞏固以前所學過的知識,而且學到了很多在書本上所沒有學到過的知識。通過這次設計,進一步加深了對EDA的瞭解,讓我對它有了更加濃厚的興趣。特別是當每一個子模塊編寫調試成功時,心裏特別的開心。但是在編寫頂層文件的程序時,遇到了不少問題,特別是各元件之間的連接,以及信號的定義,總是有錯誤,在細心的檢查下,終於找出了錯誤和警告,排除困難後,程序編譯就通過了,心裏終於舒了一口氣。在波形仿真時,也遇到了一點困難,想要的結果不能在波形上得到正確的顯示:在設定輸入的時鐘信號後,數字秒錶開始計數,但是始終看不到秒和小時的循環計數。後來,在數十次的調試之後,才發現是因為輸入的時鐘信號對於器件的延遲時間來説太短了。經過屢次調試,終於找到了比較合適的輸入數值:時鐘週期設置在15秒左右比較合適。另外,Endtime的值需要設置的長一點:500us左右,這樣就可以觀察到完整的仿真結果。

其次,在連接各個模塊的時候一定要注意各個輸入、輸出引腳的線寬,因為每個線寬是不一樣的,只要讓各個線寬互相匹配,才能得出正確的結果,否則,出現任何一點小的誤差就會導致整個文件系統的編譯出現錯誤提示,在器件的選擇上也有一定的技巧,只有選擇了合適當前電路所適合的器件,編譯才能得到完滿成功。

通過這次課程設計使我懂得了理論與實際相結合是很重要的,只有理論知識是遠遠不夠的,只有把所學的理論知識與實踐相結合起來,從理論中得出結論,才能真正為社會服務,從而提高自己的實際動手能力和獨立思考的能力。在設計的過程中遇到問題,可以説得是困難重重,這畢竟第一次做的,難免會遇到過各種各樣的問題,同時在設計的過程中發現了自己的不足之處,對以前所學過的知識理解得不夠深刻,掌握得不夠牢固。

總的來説,這次設計的數字秒錶還是比較成功的,在設計中遇到了很多問題,最後在老師的辛勤的指導下,終於遊逆而解,有點小小的成就感,終於覺得平時所學的知識有了實用的價值,達到了理論與實際相結合的目的,不僅學到了不少知識,而且鍛鍊了自己的能力,使自己對以後的路有了更加清楚的認識,同時,對未來有了更多的信心。最後,對給過我幫助的所有同學和各位指導老師再次表示忠心的感謝!

  eda課程設計心得體會二

短暫的一週實訓已經過去了,對於我來説這一週的實訓賦予了我太多實用的東西了,不僅讓我更深層次的對課本的理論知識深入了理解,而且還讓我對分析事物的邏輯思維能力得到了鍛鍊,提高了實際動手能力,下面談一下就這一週實訓中我自己的一些心得體會。 一週的實訓已經過去了,我們在老師提供的實踐平台上通過自己的實踐學到了很多課本上學不到的寶貴東西,熟悉了對Quartus Ⅱ軟件的一般項目的操作和學到了處理簡單問題的基本方法,更重要的是掌握了VHDL語言的基本設計思路和方法,我想這些會對我今後的學習起到很大的助推作用。此外,還要在今後的課本理論知識學習過程中要一步一個腳印的紮實學習,靈活的掌握和運用專業理論知識這樣才能在以後出去工作的'實踐過程中有所成果。

最後還要感謝學校為我們提供這樣專業的實踐平台還有X老師在一週實訓以來的不斷指導和同學的熱情幫助。總的來説,這次實訓我收穫很大。

同時,感謝大專兩年來所有的老師,是你們為我解惑受業,不僅教授我專業知識,更教會我做人的道理。

這次EDA實訓讓我感覺收穫頗多,在這一週的實訓中我們不僅鞏固了以前學過的知識,而且還學到了怎樣運用EDA設計三種波形的整個過程和思路,更加強了我們動手能力,同時也提高了我們的思考能力的鍛鍊,我們在寫程序的同時還要學會要改程序,根據錯誤的地方去修改程序。

本文基於Verilog HDL的乒乓球遊戲機設計,利用Verilog HDL語言編寫程序實現其波形數據功能在分析了CPLD技術的基礎上,利用CPLD開發工具對電路進行了設計和仿真,從分離器件到系統的分佈,每一步都經過嚴格的波形仿真,以確保功能正常。

從整體上看來,實訓課題的內容實現的功能都能實現,但也存在着不足和需要進一步改進的地方,為我今後的學習和工作奠下了堅實的基礎。通過此次的實訓課題,掌握了製作乒乓球遊戲機技術的原理及設計要領,學習並掌握了可編程邏輯電路的設計,掌握了軟件、CPLD元件的應用,受益匪淺, 非常感謝X老師這一學期來的指導與教誨,感謝老師在學習上給予的指導,老師平常的工作也很忙,但是在我們學習的過程中,重來沒有耽擱過,我們遇到問題問他,他重來都是很有耐心,不管問的學生有多少,他都細心的為每個學生講解,學生們遇到的不能解決的,他都配合同學極力解決。最後祝願X老師身體健康,全家幸福。

通過這次課程設計,我進一步熟悉了Verilog HDL語言的結構,語言規則和語言類型。對編程軟件的界面及操作有了更好的熟悉。在編程過程中,我們雖然碰到了很多困難和問題,到最後還是靠自己的努力與堅持獨立的完成了任務。當遇到了自己無法解決的困難與問題的時候,要有耐心,要學會一步步的去找問題的根源,才能解決問題,還請教老師給予指導和幫助。這次實訓給我最深的印象就是擴大自己的知識面,知道要培養哪些技能對我們的專業很重要。通過這次課程設計,培養了我們共同合作的能力。但是此次設計中參考了其他程序段實際思想,顯示出我們在程序設計方面還有不足之處。

在此次實訓的過程中,我瞭解到了要加強培養動手能力,要明白理論與實踐結合的重要性,只有理論知識也是不夠的,只有把理論知識和實踐相結合,才能真正提高我們的實際動手能力與獨立思考的能力 。感謝學院給我們提供這次實訓的機會,感謝X老師對我們的指導,他是為了教會我們如何運用所學的知識去解決實際的問題,此外,還得出一個結論:知識必須通過應用才能實現其價值!有些東西以為學會了,但真正到用的時候才發現是兩回事,所以我認為只有到真正會用的時候才是真的學會了。

本次設計過程中得到我們老師的悉心指導。X老師多次詢問設計進程,併為我們指點迷津,幫助我們理順設計思路,精心點撥。X老師一絲不苟的作風,嚴謹求實的態度,踏踏實實的精神,不僅授我以文,並將積極影響我今後的學習和工作。

  eda課程設計心得體會三

本學期末我們進行了EDA實訓,我們組做的是四路智能搶答器,不過本次實訓與以往最大的不同是在熟練並掌握Verilog硬件描述語言的基礎上,運用Quartus軟件,對其進行波形以及功能的仿真。我們組搶答器的設計要求是:可容納四組參賽者,每組設置一個搶答按鈕供搶答者使用,電路具有第一搶答信號的鑑別和鎖存功能,系統具有計分、倒計時和倒計時鎖存等電路,輸入信號有:各組的搶答按鈕A、B、C、D,系統清零信號CLR,系統時鐘信號CLK,計分復位端RST,加分按鈕端ADD,計時預置控制端LDN,計時使能端EN,計時預置數據調整按鈕可以用如TA、TB表示;系統的輸出信號有:四個組搶答成功與否的指示燈控制信號輸出口可用如LEDA、LEDB、LEDC、LEDD表示,四個組搶答時的計時數碼顯示控制信號若干,搶答成功組別顯示的控制信號若干,各組計分動態顯示的控制信號若干。整個系統至少有三個主要模塊:搶答鑑別模塊、搶答計時模塊、搶答計分模塊。

實訓的第一天我們組三個人就開始對搶答器的各部分源程序進行調試,由於剛開始對於quartus2軟件用的不是很熟練,所以在第一天幾乎上沒有啥大的進展,一直都在改程序中的錯誤。在不停的重複的編譯、改錯。拿着EDA修改稿、資料書檢查出錯的地方,一邊又一遍的校對分析其中的錯誤。

在實訓中我們遇到了很多的問題。為了解決這些問題我和他們兩個都在的想辦法通過各種渠道尋找解決問題的方法。上網查資料、問同學、圖書館查資料、問老師、自己想辦法,其實最有效的方法還是自己去想那樣學到的東西才會更加的深刻記得時間也是最長的,他人的幫助當然是很好的,但只是暫時的要想真正的學到東西還是要靠自己去想辦法。不能一有問題就希望要他人幫忙,一定自己先好好想想實在解決不了的再去問老師找同學。

由於在一開始的時候對quartus2軟件的不熟悉耽誤了很多的時間,在接下來的幾天裏遇到了不少的問題。剛開始的時候是源程序中的錯誤一直在那改,好不容易幾個模塊中的錯誤都一個個排除了,但當把他們放到一起時問題就又出現了。於是又開始了檢查修改,可是弄了好長時間也沒有弄明白,最後找了一個在實驗室的同學説是頂層文件有問題。於是晚上又找了些關於頂層文件資料還有課本上的例子。最後對步驟已經有了很熟練的掌握,很快就完成了程序編譯、仿真、下載到最後的調試。

紙上談來終覺淺,絕知此事要躬行。在這短暫的兩週實訓中深深的感覺到了自己要學的東西實在是太多了,自己知道的是多麼的有限,由於自身專業知識的欠缺導致了這次實訓不是進行的很順利,通過這次實訓暴露了我們自身的諸多的不足之處,我們會引以為鑑,在以後的生活中更應該努力的學習。

雖然實訓僅僅進行了兩個星期就匆匆的結束了,但在這兩個星期中收穫還是很多的。實訓的目的是要把學過的東西拿出來用這一個星期的實訓中不僅用了而且對於quartus2軟件的使用也更加的得心應手,這次實訓提高了我們的動手能力、理論聯繫實際的能力、發現問題分析問題解決問題的能力。實訓只要你認真做了都是對自己能力一次很大的提高。

本次設計過程中得到我們老師的悉心指導。X老師多次詢問設計進程,併為我們指點迷津,幫助我們理順設計思路,精心點撥,時刻在幫助着我們去提高自己。X老師一絲不苟的作風,嚴謹求實的態度,踏踏實實的精神,不僅是我學習的楷模,並將積極影響我今後的學習和工作。在此誠摯地向X老師致謝。

  • 文章版權屬於文章作者所有,轉載請註明 https://xuewengu.com/flgr/xinde/54jjyg.html